Datasheet.kr   

JE360 데이터시트 PDF




Penz VHDL에서 제조한 전자 부품 JE360은 전자 산업 및 응용 분야에서
광범위하게 사용되는 반도체 소자입니다.


 

PDF 형식의 JE360 자료 제공

부품번호 JE360 기능
기능 (JE300 - JE360) JPEG Baseline Encoder IP-Core Users Manual
제조업체 Penz VHDL
로고 Penz VHDL 로고


JE360 데이터시트 를 다운로드하여 반도체의 전기적 특성과 매개변수에 대해 알아보세요.



전체 45 페이지수

미리보기를 사용할 수 없습니다

JE360 데이터시트, 핀배열, 회로
Free Datasheet http://www.0PDF.com
JE300, JE310,
JE350 and JE360
JPEG Baseline Encoder IP-Core
Users Manual
Rev 3.0
© by Penz VHDL
Frankenstr. 16
D-55299 Nackenheim
Germany
www.penz-vhdl.de




JE360 pdf, 반도체, 판매, 대치품
Penz VHDL
Free Datasheet http://www.0PDF.com
JE300, JE310, JE350 and JE360
List of Tables:
Table 1: Size Symbols ................................................................................................ 9
Table 2: AC Run / Size Symbols............................................................................... 10
Table 3: Difference between Cores .......................................................................... 16
Table 4: Needed Resource for JE300....................................................................... 17
Table 5: Needed Resource for JE310....................................................................... 17
Table 6: Needed Resource for JE350....................................................................... 17
Table 7: Needed Resource for JE360....................................................................... 17
Table 8: Core Entity Signals ..................................................................................... 22
Table 9: Number of block RAM and pixel relation..................................................... 24
Table 10: Zigzag Order............................................................................................. 37
Table 11: Default Quantization Table for Luminance................................................ 38
Table 12: Default Quantization Table for Chrominance ............................................ 38
Table 13: Luminance Number of DC Codes ............................................................. 40
Table 14: Luminance DC Symbol to Code Assignment ............................................ 40
Table 15: Luminance Number of AC Codes ............................................................. 40
Table 16: Luminance AC Symbol to Code Assignment ............................................ 40
Table 17: Chrominance Number of DC Codes ......................................................... 41
Table 18: Chrominance DC Symbol to Code Assignment ........................................ 41
Table 19: Chrominance Number of AC Codes.......................................................... 41
Table 20: Chrominance AC Symbol to Code Assignment......................................... 41
Table 21: Luminance Number of DC Codes ............................................................. 42
Table 22: Luminance DC Symbol to Code................................................................ 42
Table 23: Luminance Number of AC Codes ............................................................. 42
Table 24: Luminance AC Symbol to Code Assignment ............................................ 42
Table 25: Chrominance Number of DC Codes ......................................................... 43
Table 26: Chrominance DC Symbol to Code Assignment ........................................ 43
Table 27: Chrominance Number of AC Codes.......................................................... 43
Table 28: Chrominance AC Symbol to Code Assignment......................................... 43
4

4페이지










JE360 전자부품, 판매, 대치품
Penz VHDL
Free Datasheet http://www.0PDF.com
JE300, JE310, JE350 and JE360
The blocks are processed in the order components and then the indexes.
Figure 4: Color Components
Y1 Cb1 Cr1 Y2 Cb2 Cr2 … Y12 Cb12 Cr12
When the chrominance components (Cb and Cr) are subsampled with a value of 2
then one chrominance sample pair is used for two luminance samples.
Figure 5: Subsampled Color Components
Y1 Y2 Cb1 Cr1 Y3 Y4 Cb2 Cr2 … Y11 Y12 Cb6 Cr6
1.4 DCT
The Discrete Cosine Transformation (DCT) transforms the 64 samples array of an
8x8 block into an 8x8 array of coefficients. Doing this by using the following equation:
∑ ∑S(v,u) = C(v) * C(u) * 7 7 S( y, x) *cos((2x +1)uπ ) *cos((2 y +1)vπ )
2 2 y=0 x=0
16 16
C(u) = 1 when u = 0else1
2
C(v) = 1 when v = 0 else1
2
The two indices x and y represent the sample placement, the indices u and v
represent the coefficients frequencies. The top left element ( S(0,0) ) is the DC
coefficient, the bottom right left element ( S(7,7) ) is the coefficient with the highest
horizontal and vertical frequencies.
1.5 Quantization
The Quantization reduces the accuracy of the coefficients. This is done by dividing
each coefficient by the value in the Quantization table with the same indices. When
using larger values in the table consequence a higher compression rate, but also
more artifacts. On the other hand, the using of lower values results in less
compression and lossy. The higher frequently coefficients are lower and the table
values for these coefficients are larger, so much of quantized coefficients become
zero. This is important for a high compression rate. The Quantization stage is the
mean reason for lossy, all other stages are lossless (the DCT is a little lossy cause
the rounding errors).
7

7페이지


구       성 총 45 페이지수
다운로드[ JE360.PDF 데이터시트 ]

당사 플랫폼은 키워드, 제품 이름 또는 부품 번호를 사용하여 검색할 수 있는

포괄적인 데이터시트를 제공합니다.


구매 문의
일반 IC 문의 : 샘플 및 소량 구매
-----------------------------------------------------------------------

IGBT, TR 모듈, SCR 및 다이오드 모듈을 포함한
광범위한 전력 반도체를 판매합니다.

전력 반도체 전문업체

상호 : 아이지 인터내셔날

사이트 방문 :     [ 홈페이지 ]     [ 블로그 1 ]     [ 블로그 2 ]



관련 데이터시트

부품번호상세설명 및 기능제조사
JE360

(JE300 - JE360) JPEG Baseline Encoder IP-Core Users Manual

Penz VHDL
Penz VHDL

DataSheet.kr       |      2020   |     연락처      |     링크모음      |      검색     |      사이트맵